第三章结构化分析与设计方法3.4系统设计

文章作者 100test 发表时间 2007:03:13 22:22:02
来源 100Test.Com百考试题网


-----------------------------------------------------

以下内容节选自清华大学版《系统分析师教程》

仅供学习、参考使用,详细内容请查阅原著

-----------------------------------------------------

3.4.1系统设计概述

  系统设计是信息系统开发过程中另一个重要阶段。这一阶段中,要根据前一阶段系统分析的结果,在已经获得批准的系统分析报告的基础上,进行新系统设计。

  系统设计的主要目的就是为系统制定蓝图,在各种技术和实施方法中权衡利弊,精心设计,合理使用各种资源,最终勾画出新系统的详细设计方案。

  但是,实际情况往往与主观设定存在差距,项目开发过程中并不总是能按总体计划分阶段顺利推进,甚至造成反复,究其原因有:

  1.传统方法认为“系统设计之前,用户的所有的需求都能被预先定义”。

  2.在生命周期法中,系统分析通常用数据流图、数据字典、判断表等工具来描述目的系统的逻辑模型,这些文字和图形工具被认梢猿浞址从承孪低车穆呒δ堋?/P>

  3.生命周期法将开发过程严格划分为几个不同阶段,并严格分离,即后一个阶段工作必须在前一阶段结束才能进行,把各个阶段工作的变化幅度限制在一个特定的范围内。

3.4.1.1系统设计的内容和步骤

  为保证总体结构设计的顺利完成,主要应遵循以下几条原则:

  1.分解-协调原则。整个系统是一个整体,具有整体的目的和功能。但这些目的和功能的实现又是由相互联系的各个组成部分共同工作的结果。解决复杂问题的一个很重要的原则就是把它分解成多个小问题分别处理,在处理过程中根据系统总体要求协调各部门的关系。在系统中,应按以下要求分解:

  按系统的功能进行分解

  按管理活动和信息运动的客观规律分解

  按系统的工作规程分解

  按用户工作的特殊需要分解(如按保密的要求)

  按开发、维护和修改的方便性分解

  协调的依据主要是:

  目的调节

  工作进程调节

  工作规范和技术规范协调

  信息协调(指信息的提供和收回)

  业务内容协调(如某些业务指标的控制)

  2.自顶向下的原则

  3.信息隐蔽、抽象的原则

  4.一致性的原则

  5.明确性原则

  6.模块之间的耦合尽可能小,模块内部组合要尽可能紧凑。

  7.模块的扇入系数和扇出系数要合理。

  8.模块的规模适当

3.4.2系统总体结构设计

  系统总体结构设计是要根据系统分析的要求和组织的实际情况来对新系统的总体结构形式和可利用的资源进行大致设计,这是一种宏观、总体上的设计和规划。

3.4.2.1子系统划分

  1.子系统划分的原则

  为了方便今后系统开发和系统运行,子系统的划分应遵循如下几点原则:

  子系统要具有相对独立性。

  子系统之间数据的依赖性尽量小

  子系统划分的结果应使数据冗余较小

  子系统的划分应便于系统分阶段实现

  子系统的划分应考虑到各类资源的充分利用

  2.系统划分方法的分类

3.4.2.2子系统结构设计

  子系统结构设计的任务是确定划分后的子系统的模块结构,并画出模块结构图。这个工程中必须考虑以下几个问题:

  每个子系统如何划分多个模块

  如何确定子系统之间、模块之间传送的数据及其调用关系

  如何评价并改进模块结构的质量

  如何从数据流图导出模块结构图

3.4.2.3网络设计

  网络设计首先要根据系统的要求选择网络的结构。然后根据系统结构划分的结果,安排网络和设备的分布,再根据物理位置来考虑联网布线和配件,最后就是根据实际业务的要求划定网络个结点的级别、管理方式、数据读写的权限、选择相应的软件系统等。

3.4.2.4硬件设备及配置

  在确定了系统的划分后,就可以考虑各子系统的设备,即计算机和网络设备的配置问题,以及如何将这些分布的设备和任务、功能、数据资源等集中统一管理。

3.4.3系统模块结构设计

3.4.3.1模块的概念

  模块是组成系统的基本单位,它的特点是可以组合、分解和更换。系统中任何一个处理功能都可以看成是一个模块。根据模块功能具体化程度的不同,可以分为逻辑模块和物力模块。在系统逻辑模型中定义的处理功能可视为逻辑模块。物理模块是逻辑模块的具体化,可以是一个计算机程序、子程序或若干条程序语句,也可以是人工过程的某项具体工作。

3.4.3.2模块结构图

  模块结构图主要关心的是模块的外部属性,即上下级模块、同级模块之间的数据传递和调用关系,并不关心模块的内部。

  模块结构图式结构设计中描述系统结构的图形工具。作为一种文档,它必须严格地定义模块的名字、功能和接口,同时还应当在模块结构图上反映出结构化设计的思想。

3.4.3.3模块的变化型分析与事务型分析

  一个系统的模块结构图一般有两种标准形式,变换型模块结构和事务型模块结构。

  变换型模块结构描述的是变换型系统。变换型系统由3部分组成:输入、数据加工(中心变换)和输出,它的功能是将输入的数据经过加工后输出。事务型系统由3层组成:事务层、操作层和细节层。它的功能是对接收的事务按其类型选择某一事务处理。

  1.变换型分析

  变换型分析过程可以分为3步

  (1)找出系统底层逻辑输入、主加工和逻辑输出

  (2)设计顶层模块和第一层模块

  (3)对输入、变换、输出模块逐个分解,便可得到初始结构图

  2.事务型分析

  事务型分析也是“自顶向下,逐步细化”的原则进行。先设计模块,其功能就是整个系统的功能。下面有一个“分析模块”和“调度模块”。前者分析事务的类型,后者根据不同的类型调用相应的下层模块。

3.4.3.4模块的耦合与内聚

  一个合理的模块划分,应该是内部联系强,模块间尽可能独立,接口明确、简单,有适当的公用性,要满足“欧和小,内聚大”的原则。

3.4.4系统详细设计

3.4.4.1代码设计

  代码是用来表征客观事物的一组有序的符号,以便易于计算机和人工识别与处理。代码的类型指代码符号的表示形式,一般有数字型、字母型、数字字母混合型等。3种类型的代码各有所长,应根据使用者的要求、信息量的多少、信息交换的频度、使用者的习惯等方面综合考虑。

  代码设计应该遵循以下基本原则:

  唯一性,一个对象可能有多个名称,也可按不同的方式对它进行描述。但在一个编码体系中,一个对象只能赋予它唯一的代码。

  合理性,代码结构与相应的分类体系相对应。

  可扩充性。应留有充分的余地,以备将来不断扩充的需要。

  简单性。结构尽可能简单,以减少各种差错。

  适用性。代码尽可能反映对象的特点,以助记忆,便于填写。

  规范性。国家有关编码标准是代码设计的重要依据,已有标准的必须遵循。在一个代码体系中,代码结构、类型、编写个是必须统一。

  系统性。有一定的分组规则,从而在整个系统中具有通用性。

3.4.4.2输出设计

  从系统开发的角度看,输出决定输入,即输入信息只有根据输出要求才能确定。

3.4.4.3输入设计

  输入设计的目的是保证向系统输入正确的数据。

3.4.4.4处理过程设计

  总体结构设计将系统分解成许多模块,并决定了每个模块的外部特征:功能与界面。计算机处理过程的设计则要确定每个模块的内部特征,即内部的执行过程,包括局部的数据组织、控制流、每一步的具体加工要求及种种事实细节。通过这样的设计,为编写程序制定一个周密的计划。

  处理过程设计的关键是用一种合适的表达方法来描述每个模块的执行过程。这种表示方法应该简明、精确,并由此能直接导出用编程语言表示的程序。常用的描述方式由图形、语言和表格等3类。

  1.程序流程图

  2.盒图(NS图)

  3.形式语言

  4.决策树

  5.决策表

3.4.4.5数据存储设计

  信息系统的主要任务是通过大量的数据获得管理所需要的信息,这就必须存储和管理大量的数据。因此建立一个良好的数据组织结构和数据库,使整个系统都可以迅速、方便、准确地调用和管理所需的数据,是衡量信息系统开发工作好坏的主要指标之一。

3.4.4.6用户界面设计

  用户界面是系统与用户之间的接口,也是控制和选择信息输入输出的主要途径。用户界面设计应坚持友好、简便、实用、易于操作的原则。

  用户界面设计包括菜单方式、会话方式、操作提示方式,以及操作权限管理方式等。

3.4.4.7安全控制设计

  从数据环境和数据处理两方面看,影响系统安全的因素有:

  环境性因素。

  数据处理因素。

3.4.5系统设计报告

  系统设计阶段的最终结果是系统设计报告。系统设计报告是下一步系统实施的基础。

  从系统调查、系统分析到系统设计是信息系统开发的主要工作,这3个阶段的工作量几乎占了总开发工作量的70%,而且这3个阶段所用的工作图表较多,涉及面广,较为复杂。



相关文章


第四章企业系统规划方法4.1概述
第三章结构化分析与设计方法3.6系统维护与评价
第四章企业系统规划方法4.2BSP方法的研究步骤
第三章结构化分析与设计方法3.5系统实施
第三章结构化分析与设计方法3.4系统设计
第三章结构化分析与设计方法3.3系统分析与建立逻辑模型
第三章结构化分析与设计方法3.2总体规划
第三章结构化分析与设计方法3.1方法概述
第二章信息与系统2.3信息系统工程
澳大利亚华人论坛
考好网
日本华人论坛
华人移民留学论坛
英国华人论坛